uvm_event 的使用

news/2024/5/19 18:28:34 标签: systemverilog

uvm_event 的使用

uvm_event可以用来在不同的组件里实现同步的作用。

class  exm_driver extends uvm_driver #(data_transaction)
    uvm_event   frame_event
    ...
    function void build_phase(uvm_phase phase)
    	super.build_phase(phase);
    	frame_event = uvm_event_pool::get_global("frame_event");
    endfunction
    
    task  main_phase(uvm_phase phase);
       ...
      frame_event.trigger();
      ...
    endtask
endclass


class exm_sequence extends uvm_sequence #(data_transaction)
uvm_event   frame_event

virtual task body();
	frame_event = uvm_event_pool::get_global("frame_event");
	...
	frame_event.wait_trigger();
	...
endtask

endclass

http://www.niftyadmin.cn/n/1458772.html

相关文章

VCS+Verdi 安装过程遇到的问题-CentOS7

VCSVerdi 安装过程遇到的问题-CentOS71.安装流程1.1文件结构1.2 创建文件目录1.3 解压SynopsysInstaller.rar1.4 安装instller1.5 安装VCS等1.6 生成license1.7 设置环境变量1.8 激活1.9 查看是否激活成功1.10 开机自动激活2.问题记录2.1 问题一2.2 问题二2.3 激活不成功3.引用…

systemverilog中的参数传递——ref、input、output

systemverilog中的参数传递——ref、input、output1 静态数组作为参数1.1 input1.2 output1.3 ref2 动态数组或队列作为参数3 类作为参数4 原文链接1 静态数组作为参数 sv中的静态数组、动态数组、队列都是用一块内存存放,而他们的名字作为该内存的地址&#xff0c…

verilog中函数用法

verilog中函数用法读写文件常用1.1打开文件1.2读写文件2执行系统命令读写文件常用 1.1打开文件 $fopen打开文件&#xff0c;用法如下&#xff1a; 1. $fopen("<文件名>")&#xff1b; 2. <句柄>$fopen(“<文件名>”)&#xff1b;用法1自然无须多…

tf.linalg.band_part函数的参数意思

tf.linalg.band_part&#xff08;.) 函数功能&#xff1a;保留非主对角线的元素&#xff0c;其余位置的元素替换为0 参数描述 input: 输入的张量num_lower &#xff1a;指定保留的主对角线下方的副对角线的数量&#xff0c;输入数值为负数时&#xff0c;表示下方的对角矩阵元…

在模型训练中,如何初始化及冻结Embedding层

Embedding layer 继承自 keras.layers.Layer&#xff0c;而 Layer 层的属性中包含 trainable&#xff0c;该值默认为 True&#xff0c; 设置其为 False&#xff0c;则可在模型训练中冻结 Embedding 层&#xff1b;Layer 层的方法中包含 set_weigths&#xff0c;利用该方法就可以…

OS module:使用主机操作系统服务

os 库包含很多功能&#xff0c;本部分主要记录os库中的文件与路径操作命令。 os.sep 返回操作系统路径分隔符&#xff0c;linux系统返回符号/&#xff0c; windows系统返回符号\。 os.environ 返回一个mapping对象&#xff0c;该对象的操作类似字典&#xff0c;包含一些常用的…

sys sysconfig module

sys标准库包含的参数或者函数较多&#xff0c;以下部分介绍比较常用的部分&#xff1a; sys.argv 返回在命令行环境下&#xff0c;运行python脚本时提供的命令行参数列表&#xff0c;其中sys.argv[0]存储python脚本的名称。 sys.path 返回一个列表&#xff0c; 列表中的元素是…

from_tensor_slices, from_tensors, from_generator的区别

from_tensor_slices(tensors) tensors: 输入可以是一个或者多个 tensor&#xff0c;若是多个 tensor&#xff0c;需要以元组的形式组装起来&#xff1b;另外所有的输入对象&#xff0c;在 “axis 0” 即第一个维度上&#xff0c;必须有相同的 “shape”。Dataset 内部元素的状…